Silicon-Germanium

Theory and Modelling
of
Silicon-Germanium HMOS Devices

Theory and Modelling Work
carried out under the
Silicon-Germanium HMOS I and II consortia.

Glasgow partipants:
J R Barker (Principal Investigator),
A. Asenov, S. Roy, J. Watling, M.Borici, S.Kaya
A. Martinez, K. Kalna
R. Wilkins, L. Yang, Y. P Zhao

SiGe for MOS Technologies Phase 2:
Development and Applications   EPSRC: GR/N65677/01


Achievements

  • First UK Full Band Monte Carlo simulator
  • Bulk and 2D device codes
  • Unique RF simulation methodology
  • Calibration of commercial tools
  • Robust methodology for transport parameter extraction
  • Confirmed evidence for overshoot in Si0.8Ge0.2
  • Device Design of SiGe n and p-MOSFETs
  • Design studies for MOSFETs to the 0.1 micron regime.
  • n-channel RF design optimisation
  • Modelling of surface roughness and degeneracy effects
  • First UK Non-equilibrium Green Function simulator
  • First detailed evaluation of interface scattering on performance in high k dielectricSi/SiGe MOSFET devices

__________________________________________________________________

Selected Publications

Refereed Conference Publications (59)  2001-2004

1. L. Yang, J. R. Watling, F. Adamu-Lema, A. Asenov and J. R. Barker, Scaling study of Si and strained Si n-MOSFETs with different high-k gate stacks, 2004 International Electron Device Meeting (IEDM), San Francisco, December 2004.
2. Watling, J.R., Yang, L., Asenov, A., Barker, J.R  And Roy,S, Impact of high-k dielectric HfO2 on the mobility and device performance of sub-100nm n-MOSFETs, International Workshop on Electrical Characterization and Reliability of High-k devices?, International SEMATECH, Austin Texas (2004), November 2004. INVITED
3. Yang, L., Watling, J.R., Adama-Lema, F., Asenov, A, And Barker, J.  , Simulations of sub-100 nm strained Si MOSFETs with high k gate stacks, IEEE extended abstracts, International Workshop on Computational Electronics IWCE-10, West Lafayette, 2004.
4. Barker, J.R And Martinez, A., Vortex flows in semiconductor device quantum channels: time-dependent simulation, IEEE extended abstracts, International Workshop on Computational Electronics, IWCE-10, West Lafayette, November 2004.
5. C. Riddet, A. Brown, C. Alexander, J.R. Watling, S. Roy and A. Asenov, Scattering from body thickness fluctuations in double gate MOSFETs. An ab initio Monte Carlo simulation study, , IEEE IWCE 10, Book of Abstracts, pp 194-195 (2004) West Lafayette, November 2004
6. L. Yang, J. R. Watling, A. Asenov, J. R. Barker and S. Roy, Sub-100nm strained Si CMOS: Device performance and circuit behaviour, 7th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), Beijing, October 2004.
7. L. Yang, J. R. Watling, A. Asenov, J. R. Barker and S. Roy, Device performance in conventional and strained Si MOSFETs with high-k gate stack, IEEE International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 199-202, Munich, September 2004.
8. Yang, L., Watling, J. R.,Barker, J.R., And Asenov, A.  , The impact of soft-optical phonon scattering due to high-k dielectrics on the performance of sub-100nm conventional and strained Si n-MOSFETs ,Proc 27th International Conference on Physics of Semiconductors (ICPS04), Arizona, August 2004
9. Barker, J.R.  Quantised Vortex Flows And Conductance Fluctuations In High Temperature Atomistic Silicon MOSFET Devices, Proc 27th International Conference on Physics of Semiconductors (ICPS04), Arizona, 2004., August 2004.

10. L. Yang, J. R. Watling, J. R. Barker and A. Asenov, The impact of soft-optical phonon scattering due to high-k dielectrics on the performance of sub-100nm conventional and strained Si n-MOSFETs, 27th International Conference on Physics of Semiconductors (ICPS04), July 2004. 11. L. Yang, J. R. Watling, R. C. W. Wilkins, J. R. Barker and A. Asenov, Reduced interface roughness in sub-100nm strained Si n-MOSFETs – A Monte Carlo simulation study, Proceedings of the 5th Europe Workshop on Ultimate Integration of Silicon (ULIS04), pp.23-26, IMEC Belgium April 2004.
12. K. Kalna, L. Yang, J. R. Watling and A. Asenov, 80 nm InGaAs MOSFET compared to equivalent Si transistor, Proceedings of ULIS 2004, ULIS 2004 Proceedings, pp.159-162, 2004. IMEC Belgium 2004.
13. Watling, J.R.,Yang, L., Barker, J.R., And Asenov. A, The Impact of high-k dielectrics on the future performance of nano-scale MOSFETs , IoP Condensed Matter and Materials Physics Conference (CMMP04), Warwick, SIL. 1.4, 98 , April 2004.
14. Barker, J.R., Quantum fluctuations in atomistic silicon and silicon-germaniumsemiconductor MOSFET devices,IoP Condensed Matter and Materials Physics Conference (CMMP04), Warwick, SIL.1.3, 2004, pp. 98. April 2004.
15. Yang, L., Watling,J.R., Wilkins,R.C.W., Barker, J.R And A. Asenov. åMonte Carlo investigation of interface roughness scattering in relaxed and strained Si n-MOSFETs?,IoP Condensed Matter and Materials Physics Conference (CMMP04), Warwick, SIL.P2.5, 100 , April 2004.
16. L. Yang, A. Asenov, M. Borici, J. R. Watling, J. R. Barker, A. Asenov, S. Roy, K .Elgaid, I. Thayne and T. Hackbarth.Optimizations of sub-100nm Si/SiGe MODFETs for high linearity RF applications,Proceedings of the 2003 IEEE Conference on Electron Device and Solid-State Circuits (EDSSC03), p331-334, Hong Kong, December 2003.
17. L. Yang, A. Asenov, J. R. Watling, M. Borici, J. R. Barker, A. Asenov, S. Roy, K .Elgaid, I. Thayne and T. Hackbarth.A simulation study of high linearity Si/SiGe HFETs,Proceedings of the 14th Workshop on Modelling and Simulation of Electron Device (MSED03), p41-44, Barcelona, 2003.
18. J. R. Watling, L. Yang, M. Borici, J. R. Barker and A. Asenov, Degeneracy and high doping effects in deep sub-micron relaxed and strained SiGe MOSFETs, International Workshop on Computational Electronics 9 (IWCE-9) Rome, 2003 .
19. S. Roy, B. Cheng, G. Roy and A. Asenov, A methodology for introducing atomisticparameter fluctuations into compact device models for circuit simulation, International Workshop on Computational Electronics IWCE-9, Frascati, May 2003.
20. S. Roy, A. Lee, A. R. Brown and A. Asenov, Application of quasi-3D and 3D MOSFET simulations in the atomistic regime, Extended abstracts of the International Workshop on Computational Electronics IWCE-9, Frascati May 2003.

21. L. Yang, J. R. Watling, M. Borici, R. C. W. Wilkins, A. Asenov, J. R. Barker and S. Roy, Simulations of scaled sub-100nm strained Si/SiGe p-channel MOSFETs, 9th IEEE International Workshop of Computational Electronics (IWCE), Frascati, May 2003.
22. W. Ma, S. Kaya and A. Asenov, Study of RF linearity in sub-50 nm MOSFETs using simulations, International Workshop on Computational Electronics IWCE-9, Frascati May, 2003.
23. J. R. BarkerGreen function simulation study of non self-averaging scattering processes in atomistic semiconductor devicesInternational Workshop on Computational Electronics IWCE-9, Frascati May, 2003.
24. J. R. Watling, L. Yang, M. Borici, J. R. Barker and A. AsenovDegeneracy and high doping effects in deep sub-micron relaxed and strained silicon n-MOSFETsInternational Workshop on Computational Electronics IWCE-9, Frascati May, 2003.
25. L. Yang, J. R. Watling, M. Borici, R.C.W. Wilkins, A. Asenov, J. R. Barker and S. RoySimulations of scaled sub-100 nm strained Si/SiGe p-channel MOSFETs.International Workshop on Computational Electronics IWCE-9, Frascati May, 2003.
26. M. Borici, J.R. Watling, R. Wilkins, L. Yang, J.R. Barker, A Non Perturbative Model of Surface Roughness Scattering for Monte Carlo Simulation of Relaxed Silicon n-MOSFETsInternational Workshop on Computational Electronics IWCE-9, Frascati May, 2003
27. A. R. Brown, F. Adamu-Lema and A. Asenov, Intrinsic Parameter Fluctuations in UTB MOSFETs Induced by Body Thickness Variations, Silicon Nanoelectronics Workshop, Kyoto, Japan, 8-9 June 2003.
28. J. R. Barker,Non-equilibrium quantum transport in finite device structures in the presence of non-self-averaged  atomistic impurity scatteringHot Carriers in Semiconductors 13 HCIS 13, Modena, August 2003.
29. M. Borici, J. R. Watling, R. C. W. Wilkins, J. R. Barker and A. Asenov, Interface roughness scattering and its impact on electrons transport in in relaxed and strained Si n-MOSFETs, Hot Carriers in Semiconductors 13 HCIS 13, Modena, August 2003.
30. C. Alexander, J. R. Watling and A. Asenov, Small volume mobility variations due to Ionised impurity scattering, Hot Carriers in Semiconductors 13 HCIS 13, Modena, August 2003.

31. A. J. Garcia Loureiro, K. Kalna, A. Asenov, R. C. W. Wilkins and J. M. Lopez-Gonzales, Statistical 3D Simulations of Intrinsic Fluctuations in Nanoscaled PHEMTs, Proceedings of the 14th Workshop on Modelling and Simulation of Electron Device (MSED03), pp.45-48, 2003.
32. C. Alexander, J. R. Watling and A. Asenov, Artificial carrier heating due to the introduction of ab-initio Coulomb scattering in Monte Carlo simulations, NPMS-6/SIMD-Maui, Dec 2003.
33. S. Kaya, W. Ma and A. Asenov, Design of DG-MOSFET’s for High Linearity Performance, Proc. SOI 2003, 2003.
34. W. Ma, S. Kaya and A. Asenov, Scaling of RF Linearity in DG and SOI MOSFETs?, EDMO 2003,
35. Asenov, A. R. Brown and J. R. Watling, Modelling end-of-the-Roadmap transistors, ULSI Process Integration, 2003.
36. J. R. Barker,Quantum fluctuations in atomistic semiconductor devices4th Int Conference on Surfaces and Interfaces of Mesoscopic Systems (SIMD-4) joint with 6th Int Conference on New Phenomena in Mescoscopic structures (NPMS-6), Maui, December 2003.
36. J. R. Barker,A theoretical study of atomistic effects on the quantum hydrodynamics of carriers in decanano semiconductor devices using non-self-averaged Green functions4th International Symposium on Nanostructures and mesoscopic systems, Tempe, February 2003
37. J. R. Barker, E. Parker, T. Whall, K. Fobelets, M. Kearney, The UK Silicon Germanium programme HMOS II10th Advanced Heterostructures Workshop, Hawaii, December 2002. INVITED.
38. J.R. Barker, L. Yang, J Watling, R. Wilkins, A. Asenov, S. Roy, T. HackbarthScaling study of Si/SiGe MODFETs for RF applications10th Advanced Heterostructures Workshop, Hawaii, December 2002. INVITED.
39. A. R. Brown, A. Asenov and J. R. Watling, Intrinsic Fluctuations in Sub 10 nm Double-Gate MOSFETs Introduced by Discreteness of Charge and Matter,Silicon Nanoelectronics Workshop, Honolulu, HI, 9-10 June 2002
40. L. Yang, J. R. Watling, R. C. W. Wilkins, A. Asenov, J. R. Barker, S. Roy and T. Hackbarth, Scaling study of Si/SiGe MODFETs for RF applications,  10th IEEE International Symposium on Electron Devices for Microwave and Optoelectronic Applications (EDMO02), Manchester, 2002.

41. K. Kalna, L. Yang and A. Asenov, High performance III-V MOSFETs: a dream close to reality, IEEE Symposium on Electron Devices for Microwave and Optoelectronic Applications,  EDMO 2002, Manchester, 2002.
42. S. Kaya, A. Asenov and S. Roy, Breakdown of universal mobility curves in sub-100 nm MOSFETs, Silicon Nanoelectronics Workshop, 2002.
43. A. Asenov, A. R. Brown and J. R. Watling, Quantum Corrections in the Simulation of Decanano MOSFETs, 3rd European Workshop on ULtimate Integration of Silicon (ULIS 2002), 2002.
44. A. Asenov, A. R. Brown and J. R. Watling, The Use of Quantum Potentials for Confinement in Semiconductor Devices, Modeling and Simulation of Microstructures (MSM 2002), 2002.
45. J.R. Barker,Quantum hydrodynamics of normal vortices in open semiconductor quantum dots 26th International Conference on the Physics of Semiconductors, Edinburgh, 2002,
46. J. Watling, and J. R. Barker ,Quantum potential corrections for spatially dependent effective masses with application to charge confinement at heterostructure interfacesNew Phenomena in Mesoscopic systems 5, Maui, November 2001.
47.J.R. Barker,Normal vortex states and their application in mesoscopic semiconductor devicesNew Phenomena in Mesoscopic systems 5, MauiNovember 2001.INVITED
48. A. R. Brown, S. Kaya, A. Asenov, J. H. Davies and T. Linton, Statistical Simulation of Line Edge Roughness in Decanano MOSFETs, Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2001.
49. S. Kaya, A. R. Brown, A. Asenov, D. Magot and T. Linton, Analysis of Statistical Fluctuations Due to Line Edge Roughness in Sub-0.1µm MOSFETs, Simulation of Semiconductor Processes and Devices (SISPAD 2001), pp.78-81, 2001.
50. J. R. Watling, A. R. Brown, A. Asenov and D. K. Ferry, Quantum Corrections in 3-D Drift Diffusion Simulation of Decanano MOSFETs Using an Effective Potential, Simulation of Semiconductor Processes and Devices (SISPAD 2001),

51. M. Palmer, G. Braithwaite, M. J. Prest, E. H. C. Parker, T. E. Whall, Y. P. Zhao, S. Kaya, J. R. Watling, A. Asenov, J. R. Barker, A. M. Waite and A. G. R. Evans, Enhanced Velocity Overshoot and Transconductance in Si/SiGe/Si pMOSFETs – Prediction for Deep Submicron Devices,ESSDERC, 2001.
52. S. Kaya, A. Asenov and S. Roy, Breakdown of universal mobility curves in sub-100nm MOSFETs, International Workshop on Computational Electronics IWCE01, Champagne-Urbana, 2001.
53. A. R. Brown, J. R. Watling and A. Asenov, A 3-D Atomistic Study of Archetypal Double Gate MOSFET Structures, International Workshop on Computational Electronics IWCE01 Champagne-Urbana, 2001.
54. J. R. Barker,On the completeness of quantum hydrodynamics: vortex formation and the need for both vector and scalar quantum potentials in device simulationInternational Workshop on Computational Electronics IWCE01 Champagne-Urbana, 2001.
55. J.R. Barker, On the current and density representation of many-body quantumtransport theory International Workshop on Computational Electronics IWCE01 Champagne-Urbana, 2001.
56. J. R. Watling, J. R. Barker and S. Roy,Quantum Potential Corrections for Spatially Dependent Effective Masses with Application to Charge Confinement at Heterostructure InterfacesInternational Workshop on Computational Electronics IWCE01 Champagne-Urbana, 2001.
57. J.R. Barker, Bohm Trajectories in Quantum Transport, INVITED2nd Int.Conf Progress in Non.Equilibrium Green Function Theory, Dresden 2002.
58. J.R. Barker, Trajectories in quantum transport, Advanced Research Workshop on Quantum Transport, Maratea, Italy, INVITED
59. J.R. Barker, Semiconductor Phenomena, Symposium on Semiconductor Physics and Devices, Osaka, Japan (2001) INVITED.

 

Comments are closed.